Four bit adder: Difference between revisions

Line 1,282:
fullAdder_2 = fullAdder(c[3],sl[2], c[2],ina(2),inb(2))
fullAdder_3 = fullAdder(co, sl[3], c[3],ina(3),inb(3))
#sum4.next = ConcatSignal(*reversed(sl))
# myhdl.ConversionError: in file ./Four_bit_adder_intbv02.py, line 84:
# Not supported: extra positional arguments '''
@always_comb
def logic_list2intbv():
Anonymous user