Loops/Do-while: Difference between revisions

(→‎{{header|Vlang}}: Rename "Vlang" in "V (Vlang)")
Line 2,308:
<span style="color: #008080;">end</span> <span style="color: #008080;">while</span>
<!--</syntaxhighlight>-->
 
=={{header|Phixmonti}}==
<syntaxhighlight lang="Phixmonti">/# Rosetta Code problem: https://rosettacode.org/wiki/Loops/Do-while
by Galileo, 11/2022 #/
 
include ..\Utilitys.pmt
 
0
true while
1 +
dup ?
dup 6 mod
endwhile</syntaxhighlight>
 
=={{header|PHL}}==
57

edits